banner
Lar / Notícias / Uma nova máscara dura
Notícias

Uma nova máscara dura

Nov 22, 2023Nov 22, 2023

Scientific Reports volume 12, Artigo número: 12180 (2022) Citar este artigo

2501 acessos

3 citações

1 Altmétrico

Detalhes das métricas

Esta carta resolve um grande obstáculo que prejudica a fabricação de estruturas de micromesoescala em silício com base em fotolitografia. A fotolitografia convencional é geralmente realizada em superfícies lisas e planas de wafer para estabelecer um design 2D e posteriormente gravá-lo para criar recursos de nível único. No entanto, é incapaz de processar superfícies não planas ou wafers já gravados e criar mais de um nível na estrutura. Neste estudo, descrevemos um novo fluxo de processo baseado em sala limpa que permite a fácil criação de estruturas 3D hierárquicas de vários níveis em um substrato. Isto é conseguido através da introdução de uma camada ultrafina de máscara rígida de dióxido de silício sacrificial no substrato, que é primeiro padronizada em 3D por meio de múltiplas rodadas de litografia. Este padrão 3D é então dimensionado verticalmente por um fator de 200 a 300 e transferido para o substrato abaixo por meio de uma única etapa de gravação profunda. O método proposto também é facilmente caracterizável - usando características de diferentes topografias e dimensões, as taxas de corrosão e seletividades foram quantificadas; esta informação de caracterização foi usada posteriormente durante a fabricação de estruturas alvo específicas. Além disso, este estudo compara de forma abrangente a nova técnica de transferência de padrões com métodos já existentes de criação de estruturas multiníveis, como litografia em escala de cinza e empilhamento de chips. O processo proposto foi considerado mais barato, mais rápido e mais fácil de padronizar em comparação com outros métodos – isso tornou o processo geral mais confiável e repetível. Esperamos que isso encoraje mais pesquisas em estruturas híbridas que são a chave para melhorias drásticas de desempenho em vários dispositivos de micromesoescala.

Os avanços nas técnicas de processamento micro-nano baseadas em litografia revolucionaram a tecnologia em todo o mundo por sua capacidade de produzir estruturas em massa de maneira econômica, variando de escala de comprimento inferior a 10 nm até a escala milimétrica. Algumas dessas estruturas incluem componentes eletrônicos em escala nanométrica, como FETs, IGBTs1, recursos submicrométricos como guias de onda ópticos2, lentes de Fresnel3, dispositivos fotônicos4 e dispositivos micro-nanofluídicos5. Recursos de escala micro (1–100 μm) e meso (0,1–1 mm) ligeiramente maiores são ainda mais úteis na tecnologia moderna e têm visto inúmeras aplicações em microfluídica6, tecnologias de resfriamento7,8, pesquisa de baterias9, sorção-dessorção10, dessalinização11 e catálise12 . Embora onipresente, versátil e indispensável como técnica de fabricação micro-nano, a litografia convencional baseada em salas limpas sofre de uma limitação importante. Este tipo de processamento pode criar com eficiência apenas estruturas 2,5D ou de nível único (Fig. 1a, b), mas é incapaz de criar de forma confiável estruturas hierárquicas 3D híbridas de vários níveis (estruturas com mais de um nível de altura ou profundidade, como mostrado na Fig. 1c – e) de profundidades superiores a 1–5 μm. Através da rota convencional LELE (Litho-Etch Litho-Etch), um design/padrão 2D (controle total disponível sobre o design do recurso em 2D) é primeiro colocado litograficamente em uma camada de máscara de sacrifício [geralmente, um polímero fotossensível chamado fotorresistente (PR)] na bolacha. Esta máscara agora é usada como proteção para gravar a parte exposta do desenho no wafer. Através de uma rodada de 'litografia + gravação' todo o desenho pode ser gravado em apenas uma profundidade específica, dando origem a uma estrutura de nível único. O processo convencional de sala limpa LELE normalmente exigiria múltiplas rodadas de 'litografia + gravação' consecutivas para alcançar as estruturas multiníveis desejadas (Fig. 1f – i). O gargalo surge devido à segunda rodada insatisfatória de litografia (Fig. 1i) em wafers que já passaram por uma rodada de 'litografia + gravação' e, portanto, possuem características gravadas (altura ≥ 5 μm) nelas. Isso representa um grande obstáculo à fabricação em uma época em que as estruturas híbridas são a chave para melhorias drásticas no desempenho dos dispositivos existentes. (Detalhes adicionais sobre a utilidade de estruturas híbridas podem ser encontrados na seção “Impacto”.)

3.0.CO;2-1" data-track-action="article reference" href="https://doi.org/10.1002%2F1616-3028%2820020618%2912%3A6%2F7%3C405%3A%3AAID-ADFM405%3E3.0.CO%3B2-1" aria-label="Article reference 16" data-doi="10.1002/1616-3028(20020618)12:6/73.0.CO;2-1"Article CAS Google Scholar /p>